• <rt id="2wkqu"><small id="2wkqu"></small></rt>
  • 您當(dāng)前的位置 :環(huán)球傳媒網(wǎng)>新視野 > 正文
    我國集成電路產(chǎn)業(yè)的機(jī)遇:發(fā)展“芯”技術(shù)的機(jī)遇已經(jīng)來臨
    2021-03-15 13:52:30 來源:未來智庫 編輯:

    一、集成電路,加速人類社會進(jìn)步的利器

    1.1 集成電路的定義與特征

    集成電路構(gòu)成持續(xù)發(fā)展。集成電路(Integrated Circuit,IC)是采用特定的加工工藝,按照一定的電路互聯(lián),把一個(gè)電路中所需的晶體管、電容、電阻等有源無源器件,集成 在一小塊半導(dǎo)體晶片上并裝在一個(gè)管殼內(nèi),成為能執(zhí)行特定電路或系統(tǒng)功能的微型結(jié)構(gòu)。集成電路由最初的電子管到后期的晶體管,集成電路里的電子元件向著微小型化發(fā)展, 同時(shí)元器件也在成倍增長。隨著各種先進(jìn)封裝技術(shù)如銅互連、浸沒式光刻、3D 封裝技術(shù)的不斷涌現(xiàn),集成電路已由最初加工線寬為 10 微米量級,2018 年量產(chǎn)集成電路的加工 技術(shù)已經(jīng)達(dá)到 7 納米。同時(shí),作為集成電路的襯底,硅圓片早期的直徑已由最初的 1in(約 25.4mm)增長到現(xiàn)在的 300mm(約 12in)。

    1.2 集成電路對世界發(fā)展具有重大意義

    信息交流活動(dòng)是人類文明組成部分,人們在信息在共享和交換中產(chǎn)生價(jià)值。進(jìn)入 21 世 紀(jì),由于微電子技術(shù)的進(jìn)步,液晶和等離子平板顯示器逐漸取代了陰極射線管顯示器,圖像感知、傳輸和顯示均在“固體”中進(jìn)行,這使得移動(dòng)設(shè)備傳輸信息成為了可能。微 電子技術(shù)為人類創(chuàng)造了全新的信息世界,進(jìn)入了從 1998 年開始的初期信息社會,使得集成電路立下了人類社會發(fā)展的進(jìn)程中不可磨滅的歷史功績。

    信息時(shí)代帶動(dòng)世界 GDP 快速增長。在農(nóng)業(yè)社會時(shí)期,世界 GDP 年均增長率僅有 0.105%;從步入工業(yè)社會開始,年均增長率出現(xiàn)了大幅的提升達(dá)到了 1.585%和 3.908%。自大規(guī) 模集成電路制造在 60 年代量產(chǎn)以來,集成電路進(jìn)入商用階段。隨著個(gè)人 PC 的普及,半導(dǎo)體內(nèi)存和微處理器得到進(jìn)一步提升,推動(dòng) PC 市場在 90 年代進(jìn)入成熟階段;21 世紀(jì)初 隨著互聯(lián)網(wǎng)的大范圍推廣,移動(dòng)通訊時(shí)代來臨,消費(fèi)電子取代 PC 成為集成電路產(chǎn)業(yè)的另一發(fā)力市場??梢哉f集成電路與世界經(jīng)濟(jì)發(fā)展密不可分。從 1998 年開始的初期信息 社會開始,世界 GDP 增長率躍升為 6.622%,可以看出由消費(fèi)電子所引起的新一輪信息化時(shí)代對世界 GDP 所做出的貢獻(xiàn)。

    趨勢一:中國已成全球產(chǎn)業(yè)重點(diǎn)市場,國產(chǎn)替代迫在眉睫

    2.1 產(chǎn)業(yè)重心轉(zhuǎn)向亞太地區(qū),中國已成重要市場

    世界集成電路重心已從歐美轉(zhuǎn)向亞太地區(qū)。1986 年,全球半導(dǎo)體市場按區(qū)域分布的市場占比區(qū)分,日本市場是最大的區(qū)域市場,占全球半導(dǎo)體市場的 39.7%,而此時(shí)亞太(除日本外)市場僅占 7.8%。進(jìn)入 21 世紀(jì)后,亞太(除日本外)市場持續(xù)保持快速增長。2000 年,日本市場占全球市場的比例下降至 22.9%,較 1986 年下降了 16.8%;與此同時(shí),亞太(除日本外)地區(qū)市場已經(jīng)快速增長至達(dá)到 25.1%的占比,成為僅次于美洲地區(qū)的第二大區(qū)域市場,此時(shí),美洲和歐洲地區(qū)市場分別占全球市場 31.3%和 20.7%。隨著技術(shù)的發(fā)展,亞太地區(qū)(除日本)的半導(dǎo)體生產(chǎn)研發(fā)技術(shù)不斷進(jìn)步,半導(dǎo)體生產(chǎn)體系日益完善,半導(dǎo)體生產(chǎn)重心已經(jīng)轉(zhuǎn)移至亞太(除日本)地區(qū);同時(shí),進(jìn)入 21 世紀(jì)后,亞太(除日本)地區(qū)對經(jīng)濟(jì)水平獲得快速發(fā)展,人們消費(fèi)能力進(jìn)一步提升,對半導(dǎo)體產(chǎn)品需求增加,因此,世界半導(dǎo)體市場中心也轉(zhuǎn)移至亞太地區(qū)。2016 年,亞太(出日本外)地區(qū)市場已占全球市場 61.5%,成為全球最大的半導(dǎo)體市場地區(qū)。

    中國是全球重要的集成電路市場。經(jīng)過多年的改革開放,招商引資,中國的集成電路市場獲得了長足的發(fā)展;同時(shí),隨著科技與經(jīng)濟(jì)社會的進(jìn)步與發(fā)展,我國對集成電路的需求也在不斷提升。從產(chǎn)業(yè)的角度看,中國集成電路設(shè)計(jì)、制造、封裝測試等產(chǎn)業(yè) 20022019 的年均復(fù)合增長率為21.70%,已 由2002 年的268.40 億元擴(kuò)大到2019 年的7562.30億元,集成電路產(chǎn)業(yè)在我國仍然經(jīng)歷著雙位數(shù)的增長。根據(jù) IC Insights 數(shù)據(jù)顯示,全球半導(dǎo)體產(chǎn)業(yè)市場達(dá)到 4740 億美元,中國約占世界半導(dǎo)體產(chǎn)業(yè)的 19.57%,是全球重要的半導(dǎo)體產(chǎn)業(yè)所在地。

    2.2 集成電路需求旺盛,減稅減負(fù)加速國產(chǎn)替代

    我國集成電路產(chǎn)業(yè)高度依賴進(jìn)口。我國集成電路進(jìn)出口規(guī)模隨著電子信息產(chǎn)業(yè)的迅速發(fā)展和集成電路市場需求的不斷增長也在快速擴(kuò)大。2008-2019 年中國集成電路進(jìn)口量和進(jìn)口額從 1354 億塊和 1292.6 億美元到 4451.34 億塊和 3055.5 億美元。同期中國集成電路出口量和出口額則從 2008 年的 485 億塊和 243.2 億美元到 2019 年的 2186.97 億塊和 1015.8 億美元??梢钥闯龀隹谂c進(jìn)口保持了同步增長的勢頭,但集成電路領(lǐng)域整體貿(mào)易逆差絕對值仍在快速擴(kuò)大,從 2008 年的 1049 億美元貿(mào)易逆差額擴(kuò)大到 2040 億美元,可以看出隨集成電路仍依賴進(jìn)口。

    “以市場換技術(shù)”不再可行,先進(jìn)技術(shù)封鎖倒逼國內(nèi)自主創(chuàng)新。自貿(mào)易戰(zhàn)開始時(shí), CFIUS權(quán)限不斷獲得擴(kuò)大,其他國家對美國技術(shù)企業(yè)的收購被收緊,以吸引先進(jìn)技術(shù)為目的的我國對美直接投資受到限制。除美國外,德國等國家也通過制定類似措施限制我國通過海外并購來獲取先進(jìn)技術(shù),我國“以資金、市場換技術(shù)”的發(fā)展戰(zhàn)略受到阻礙。在核心技術(shù)受到威脅的過程,以中興通訊和華為受到打壓最為受到關(guān)注。中興通訊一度出現(xiàn)公司主要經(jīng)營活動(dòng)已無法進(jìn)行的情況;華為方面,由于技術(shù)儲備較多,因此目前尚未發(fā)布運(yùn)營活動(dòng)停止的相關(guān)公告。西方國家的技術(shù)封鎖政策限制了我國通過海外并購獲得先進(jìn)技術(shù),但也開始倒逼中國走自主創(chuàng)新之路。例如,中興通訊,加大了研發(fā)投入,在 5G 基站芯片方面,中興公司 7nm 工藝的芯片已經(jīng)完成設(shè)計(jì)并量產(chǎn),目前正在研發(fā) 5nm 工藝的5G 芯片。我國在基礎(chǔ)設(shè)施、工程師紅利等方面具有優(yōu)勢,電子產(chǎn)業(yè)有望從中低端向高端進(jìn)行延伸,關(guān)鍵領(lǐng)域的國產(chǎn)替代趨勢不可避免。

    減稅減負(fù)促進(jìn)集成電路產(chǎn)業(yè)發(fā)展。2019 年 5 月 22 日,財(cái)政部與國家稅務(wù)總局發(fā)布《關(guān)于集成電路設(shè)計(jì)和軟件產(chǎn)業(yè)企業(yè)所得稅政策的公告》。該政策實(shí)行“兩免三減半”措施, 然而,并不是所有的集成電路設(shè)計(jì)和軟件產(chǎn)業(yè)公司有可以獲得減免政策,在本次政策中提到需要符合過去的相關(guān)政策條件。因此,本次政策的發(fā)布是順延國家一貫扶持國家核 心技術(shù)產(chǎn)業(yè)的方針。近期,出現(xiàn)多起美國政府將國內(nèi)科技公司列入是實(shí)體名單的事件(含已實(shí)施和計(jì)劃實(shí)施)。缺乏核心技術(shù)的企業(yè)容易出現(xiàn)受制于人的局面。國家通過實(shí)施減 免企業(yè)所得稅的政策,減少集成電路設(shè)計(jì)和軟件產(chǎn)業(yè)的負(fù)擔(dān),促進(jìn)相關(guān)企業(yè)能投入更多的研發(fā),掌握核心技術(shù)。

    2.3 集成電路產(chǎn)業(yè)鏈:設(shè)計(jì)業(yè)飛速發(fā)展超越封裝業(yè)

    半導(dǎo)體行業(yè)主要有三種運(yùn)行模式:IDM、Fabless、EDA、Foundry。

    IDM 是集芯片設(shè)計(jì)、制造、封測于一身,有利于設(shè)計(jì)、制造等環(huán)節(jié)協(xié)同效應(yīng)從而發(fā)掘技術(shù)潛力,是早期多數(shù)集成電路企業(yè)采用的模式。但由于公司規(guī)模龐大,管理成本較高,目前僅有極少數(shù)企業(yè)能夠維持,典型代表廠商有 intel 和三星。

    Fabless 是另一個(gè)直接面對市場的模式,是代指那些無生產(chǎn)線設(shè)計(jì)企業(yè)。通常他們初始投資規(guī)模較小,創(chuàng)業(yè)難度較低,轉(zhuǎn)型相對靈活從而受到大多企業(yè)的青睞,但于 IDM 相比無法于工藝協(xié)同優(yōu)化。

    EDA 是提供芯片設(shè)計(jì)工具軟件的代稱,而 IP 授權(quán)則是半導(dǎo)體設(shè)計(jì)的上游,通常設(shè)計(jì)公司無需對每個(gè)細(xì)節(jié)進(jìn)行設(shè)計(jì),可通過購買成熟可靠的 IP 方案,實(shí)現(xiàn)某些特定功能從而縮短開發(fā)時(shí)間。因此,EDA 公司在某種程度上屬于另一種芯片設(shè)計(jì)公司。

    Foundry 模式則為純粹負(fù)責(zé)制造或封測;可以為多家 fabless 提供服務(wù),不承擔(dān)設(shè)計(jì)缺陷所帶來的決策風(fēng)險(xiǎn),但投資規(guī)模較大,維持生產(chǎn)線費(fèi)用較高。

    中國集成電路設(shè)計(jì)業(yè)銷售額占比最大。中國集成電路設(shè)計(jì)業(yè)銷售額由 2004 年的 81.5 億元增長到 2019 年的 3063.5 億元,在 2016 年以 37.93%的比重超越了封測產(chǎn)業(yè),成為我國集成電路比重最大的產(chǎn)業(yè),2019 年設(shè)計(jì)業(yè)銷售額占集成電路產(chǎn)業(yè)的 40.51%。制造業(yè)銷售額從 2004 年的 181.2 億元增長至 2019 年 2149 億元,復(fù)合增長率為 17.93%,在2019 年占比達(dá)到 28.42%。封裝測試行業(yè)也保持著穩(wěn)定增長從 282.6 億元到 2349.70 億元,占產(chǎn)業(yè)份額則調(diào)整至 31.07%。

    設(shè)計(jì)業(yè)快速發(fā)展的背后需要考慮高端產(chǎn)品與行業(yè)集中度的問題。芯片設(shè)計(jì)過程可以大概分為規(guī)格制定、設(shè)計(jì)芯片細(xì)節(jié)、花平面藍(lán)圖、電路布局和光罩。大多數(shù)設(shè)計(jì)公司的運(yùn)營模式為根據(jù)系統(tǒng)整機(jī)的發(fā)展需求定義、研發(fā)和設(shè)計(jì)集成電路產(chǎn)品,然后通過代工廠生產(chǎn),過產(chǎn)品銷售獲取收益。當(dāng)前全球 IC 設(shè)計(jì)仍以美國為主導(dǎo),但是我國集成電路設(shè)計(jì)業(yè)近年發(fā)展迅速。2019 年的設(shè)計(jì)業(yè)銷售額達(dá)到 3063.5 億元,約為 2004 年的 37.59 倍。2004年到 2019 年產(chǎn)業(yè)年均銷售額復(fù)合增長率為 27.35%。雖然我國集成電路設(shè)計(jì)業(yè)發(fā)展迅速,但是仍存問題。一方面,集成電路產(chǎn)品種類齊全,但高端核心芯片缺乏。我國在核心通用芯片設(shè)計(jì)領(lǐng)域,如 CPU、存儲器和高性能模擬芯片基礎(chǔ)較為薄弱目前。另一方面,中國有近 1400 家左右的設(shè)計(jì)企業(yè),但是行業(yè)“整體實(shí)力不強(qiáng)”,行業(yè)集中度較低。美國頭部芯片企業(yè)超過 80%的份額相比,我國前十大集成電路設(shè)計(jì)企業(yè)的銷售額占比剛剛超過 30%。

    制造業(yè)發(fā)展需要時(shí)間精耕細(xì)琢。芯片制造業(yè)提供集成電路制造服務(wù)但自身不開展產(chǎn)品設(shè)計(jì)。芯片制造業(yè)對資本、技術(shù)、人才要求高。尤其以重資產(chǎn)為主,原因是根據(jù)市場需求以及技術(shù)發(fā)展趨勢,制造代工企業(yè)需大規(guī)模投資建設(shè)圓片生產(chǎn)線,進(jìn)行工藝技術(shù)升級換代。2004 年,我國集成電路制造產(chǎn)業(yè)銷售額為 181.2 億元,2016 年集成電路制造業(yè)銷售額突破 1000 億大關(guān),達(dá)到 1126.9 億元。2019 年,中國集成電路制造業(yè)銷售額為2149.1 億元,同比增長 18.20%,2004-2019 年,中國集成電路制造業(yè)年復(fù)合增長率為17.93%。目前來看,中國大陸的制造技術(shù)節(jié)點(diǎn)依然處于以中芯國際為代表的 14nm 研發(fā)工藝,與韓國三星和中國臺灣臺積電基本處于 7nm 量產(chǎn)有大概兩代的差距。

    封測業(yè)技術(shù)壁壘較低,追趕速度較好。集成電路封裝屬于集成電路產(chǎn)品制造的后序工序,整體伴隨著集成電路芯片技術(shù)的不斷發(fā)展而變化。封裝的主要作用是提供對芯片的支撐與機(jī)械保護(hù),為了剔除不合格品而進(jìn)行標(biāo)準(zhǔn)的各種測量與篩選的過程為測試。由于封裝測試領(lǐng)域技術(shù)壁壘相對較低,人力成本要求相對較高。在我國,集成電路封裝測試業(yè)發(fā)展形勢較好,占比在集成電路產(chǎn)業(yè)中始終保持在 35-40%左右。整個(gè)產(chǎn)業(yè)由 2004 年的282.60 億元增至 2019 年的 2349.7 億元,在 2004-2019 年產(chǎn)業(yè)規(guī)模的年均復(fù)合率達(dá)到15.17%。在未來,物聯(lián)網(wǎng)將是推動(dòng)半導(dǎo)體市場增長的主要?jiǎng)恿ΑS捎谖锫?lián)網(wǎng)產(chǎn)品比手機(jī)更強(qiáng)調(diào)輕薄短小,因此,完整的系統(tǒng)封裝與系統(tǒng)模組整合能力將是封測企業(yè)的發(fā)展方向。

    趨勢二:摩爾定律遭遇瓶頸,另辟蹊徑看后摩爾時(shí)代發(fā)展

    3.1 摩爾定律是一種基于統(tǒng)計(jì)的結(jié)果

    過往集成電路的發(fā)展是摩爾定律有效印證。摩爾定律在 1965 年被第一次提及,其基論點(diǎn)為在維持最低成本的前提下,以 18-24 個(gè)月為一個(gè)跨度,集成電路的集成度和性能將提升一倍。我們所熟知的 10nm,7nm 芯片其命名方式是根據(jù)技術(shù)節(jié)點(diǎn)而定的。關(guān)鍵部位的關(guān)鍵性參數(shù)稱為特征尺寸,而具備一系列特征尺寸的技術(shù)稱為技術(shù)節(jié)點(diǎn)。業(yè)界較為認(rèn)可的技術(shù)節(jié)命名方式是新一代產(chǎn)品為前一代的 0.7 倍。從過去數(shù)十年的數(shù)據(jù)來看,集成電路的制造成本、芯片功耗和芯片性能這三大指標(biāo)都沿著摩爾定律一直向前發(fā)展,因而其有效性一直得以延續(xù)。

    摩爾定律形成于統(tǒng)計(jì)結(jié)果,是技術(shù)發(fā)展的一種合理推測。與其他科學(xué)學(xué)科不同,摩爾定律更應(yīng)當(dāng)被理解為經(jīng)濟(jì)學(xué)規(guī)律,是由集成電路實(shí)際生產(chǎn)所得出來的結(jié)果。在定律被提出后的一段時(shí)間里,集成電路的發(fā)展動(dòng)力較為強(qiáng)勁,約每 18 個(gè)月工藝就進(jìn)行一次迭代。隨著技術(shù)節(jié)點(diǎn)不斷下探,工藝的迭代速度已經(jīng)有所放緩。2015 年國際半導(dǎo)體行業(yè)機(jī)構(gòu)聯(lián)合發(fā)布的國際半導(dǎo)體技術(shù)線路圖(ITRS)顯示,隨著集成電路尺寸不斷減小,技術(shù)瓶頸在制約工藝的發(fā)展,從 15 年以來產(chǎn)品換代速度已下降到 24 個(gè)月,這個(gè)速度預(yù)計(jì)將保持到2030 年。

    3.2 三大要素制約摩爾定律發(fā)展

    物理、功耗和經(jīng)濟(jì)成集成電路工藝發(fā)展瓶頸。集成電路性能、功耗及制造成本是評判摩爾定律是否有效其中較為重要的標(biāo)準(zhǔn)。目前主流芯片廠商的產(chǎn)品已經(jīng)進(jìn)入到10nm以內(nèi),遵循過往方法,即按比例不斷縮小各元件尺寸已無法達(dá)到摩爾定律所指導(dǎo)的目的。從物理角度來看,集成電路尺寸已進(jìn)入到介觀尺寸材料的范圍內(nèi),各種物理障礙都會成為集成電路發(fā)展的阻力,如雜質(zhì)漲落、量子隧穿等。介觀物理和基于量子化的處理方法是解決這些物理障礙的有效手段。但目前而言,這些技術(shù)在商業(yè)化上還尚未成熟,這將制約集成電路發(fā)展的一大因素。時(shí)鐘頻率是評估芯片性能的一個(gè)重要指標(biāo),其數(shù)值越大,性能越佳。因而,時(shí)鐘頻率的提升是在每個(gè)技術(shù)節(jié)點(diǎn)廠商都需要考慮的問題。但是鐘頻率提高的同時(shí),功耗也會隨之上升。目前每一技術(shù)節(jié)點(diǎn)在時(shí)間頻率上都會有 20%的提升,而功耗也以一定的速率在增加。若將功耗保持在一個(gè)固定數(shù)值,即使是技術(shù)節(jié)點(diǎn)在不斷的向前推進(jìn),時(shí)鐘頻率也得不到提升,甚至在某一節(jié)點(diǎn)開始下降。散熱問題是功耗上升后所要面臨的一大難題,在技術(shù)節(jié)點(diǎn)不斷下探的情況下,如何保證芯片在合理的工作溫度運(yùn)行考驗(yàn)著各大廠商。功耗和性能的平衡點(diǎn)需要不斷的探尋,因而功耗成為另外一個(gè)制約集成電路發(fā)展的因素。所有工藝和技術(shù)的最終落腳點(diǎn)都是利潤。從成本的角度來看,20nm 成為加工成本的一個(gè)分水嶺。在 20nm 以前的技術(shù)節(jié)點(diǎn),加工成本都有一定的下降。但從 20nm 開始,加工成本下降的趨勢被打破,開始有所上升。成本的增加擠壓廠商的利潤,在一定程度上將打擊研發(fā)的熱情,研發(fā)速度將有所放緩。物理制約、功耗制約和經(jīng)濟(jì)制約是現(xiàn)階段對摩爾定律應(yīng)用限制較為明顯的因素,因此,當(dāng)前需要重新確認(rèn)集成電路的發(fā)展。

    3.3 另辟蹊徑再續(xù)摩爾定律發(fā)展趨勢

    新理論新技術(shù),步入后摩爾時(shí)代。在集成電路工藝發(fā)展數(shù)十年后,目前業(yè)界認(rèn)為已經(jīng)進(jìn)入到后摩爾時(shí)代。身處后摩爾時(shí)代,廠商不能按照舊思路進(jìn)行研發(fā),新理論新技術(shù)的補(bǔ)充將成為增長的新動(dòng)力,性能與功耗的比值將成為評判技術(shù)和產(chǎn)品的重要指標(biāo)。業(yè)界已提出四大發(fā)展方向,延續(xù)摩爾(More Moore)、擴(kuò)展摩爾 (More than Moore)、超越摩爾(Beyond Moore)、豐富摩爾(Much Moore)。

    3.3.1 延續(xù)摩爾 More Moore

    結(jié)構(gòu)優(yōu)化和工藝微縮,共同助力延續(xù)摩爾。延續(xù)摩爾基本思路是將經(jīng)典 CMOS 轉(zhuǎn)向非經(jīng)典 CMOS,半節(jié)距按比例減小,采用非經(jīng)典器件結(jié)構(gòu)等,從結(jié)構(gòu)的設(shè)計(jì)及布局來實(shí)現(xiàn)產(chǎn)品 的微縮。其本質(zhì)是通過改變相關(guān)器件的結(jié)構(gòu)和布局來實(shí)現(xiàn)不同功能的電子元件按設(shè)計(jì)組合成一塊芯片。系統(tǒng)芯片(SoC)是高度集成的芯片產(chǎn)品,是延續(xù)摩爾的一個(gè)重要應(yīng)用。 這類芯片是從設(shè)計(jì)的角度出發(fā),是將系統(tǒng)所需的組件高度集成到一塊芯片上。組件的尺寸決定著相同面積上的芯片可以集成器件數(shù)量,工藝微縮表現(xiàn)為隨著工藝能力的提高, 可以加工出更小尺寸的器件。因而,工藝微縮對于系統(tǒng)芯片影響較為顯著。設(shè)計(jì)端在使用更合理的結(jié)構(gòu)的同時(shí),更小尺寸的器件將會加大其可操作的空間。系統(tǒng)芯片與其他類 型芯片相比,其密度更高,速度也會更快。這優(yōu)勢源于其從設(shè)計(jì)出發(fā),實(shí)現(xiàn)從需求到產(chǎn)品的過程,因而更具有針對性。系統(tǒng)芯片是延續(xù)摩爾這一發(fā)展方向上較為突出的亮點(diǎn), 也是摩爾定律得以延續(xù)的一大佐證。

    外企引領(lǐng)高水平,中國大陸產(chǎn)品有望追趕。目前市場上利用延續(xù)摩爾發(fā)展的產(chǎn)品有 CPU、 內(nèi)存、邏輯器件等,這些產(chǎn)品占集成電路整個(gè)市場的 50%。從各大廠商所公布的數(shù)據(jù)來看,中國臺灣臺積電和韓國三星兩家公司已具備 7nm 芯片量產(chǎn)的能力,這兩家公司在 2018 年晶圓代工全球市場份額分別為 54.39%和 14.40%。而中國大陸龍頭中芯科技在今年早前宣布實(shí)現(xiàn) 14nm 芯片。目前國產(chǎn)技術(shù)還有待提高,在國外龍頭遭遇產(chǎn)業(yè)瓶頸所導(dǎo)致研發(fā)周期加長的情況下國內(nèi)廠商有望縮小與國外龍頭差距。

    3.3.2 擴(kuò)展摩爾 More than Moore

    技術(shù)優(yōu)勢和市場決定擴(kuò)張摩爾價(jià)值。與延續(xù)摩爾所采用的方式不同,擴(kuò)張摩爾的本質(zhì)是將不同功能的芯片和元件組裝拼接在一起封裝。其創(chuàng)新點(diǎn)在于封裝技術(shù),在滿足需求的情況下,可快速和有效的實(shí)現(xiàn)芯片功能,具有設(shè)計(jì)難度低、制造較為便捷和成本較低等優(yōu)勢。這一發(fā)展方向使得芯片發(fā)展從一味追求功耗下降及性能提升方面,轉(zhuǎn)向更加務(wù)實(shí)的滿足市場的需求。這方面的產(chǎn)品包括了模擬/RF 器件,無源器件、電源管理器件等,占集成電路市場約 50%份額。

    系統(tǒng)級封裝(SiP)優(yōu)勢凸顯。在擴(kuò)展摩爾發(fā)展道路上技術(shù)較為成熟且具備量產(chǎn)條件的是系統(tǒng)級封裝。系統(tǒng)級封裝可以將一個(gè)系統(tǒng)或子系統(tǒng)集成在一個(gè)封裝內(nèi),應(yīng)用此技術(shù)可突破 PCB 自身不足帶來系統(tǒng)性能的瓶頸,能最大限度發(fā)揮各子芯片之間互聯(lián)互通,充分發(fā)揮各芯片和器件的作用。引線鍵合封裝工藝和倒裝焊工藝是實(shí)現(xiàn)封裝兩種可互相替代的關(guān)鍵性工藝,現(xiàn)被各大廠商廣泛應(yīng)用,其對于系統(tǒng)級封裝起到至關(guān)重要的作用。

    3D 封裝成系統(tǒng)級封裝亮點(diǎn)。3D 堆疊技術(shù)是把不同功能的芯片或結(jié)構(gòu),通過堆疊技術(shù)或過孔互連等微機(jī)械加工技術(shù),使其在 Z 軸方向上形成立體集成、信號連通及圓片級、芯片級、硅帽封裝等封裝和可靠性技術(shù)為目標(biāo)的三維立體堆疊加工技術(shù)。從系統(tǒng)級封裝的傳統(tǒng)意義上來講,因?yàn)樵?Z 軸上有了功能和信號的延伸,所以凡是有芯片堆疊的都可以稱之為 3D。3D 封裝運(yùn)用到的技術(shù)有封裝堆疊(PoP)、芯片堆疊(SDP)、硅通孔技術(shù)(TVS)及硅基板技術(shù)。其中硅通孔技術(shù)是 3D 芯片堆疊技術(shù)的關(guān)鍵,也是當(dāng)前技術(shù)先進(jìn)性最高的封裝互連技術(shù)之一。 3D 封裝具有四大優(yōu)勢:可縮短尺寸、減輕重量達(dá) 40-50 倍;在能耗不增加的情況下,運(yùn)轉(zhuǎn)的速度更快;寄生性電容和電感得以降低;更有效的利用硅片的有效區(qū)域,與 2D 相比 3D 效率超過 100%。3D 封裝雖然優(yōu)點(diǎn)突出,但有一個(gè)弱點(diǎn)是各大廠商都需要攻克的難題,即功率密度隨電路密度提升而提升,解決散熱問題是 3D封裝技術(shù)的關(guān)鍵。

    技術(shù)決定市場份額,臺積電、英特爾將獨(dú)占鰲頭。SoIC 是臺積電推出的一種創(chuàng)新的多芯片堆疊技術(shù),是一種晶圓對晶圓的鍵合技術(shù),本質(zhì)是一種 3D IC 制程技術(shù)。SoIC 是基于臺積電的 CoWoS(Chip on wafer on Substrate)與多晶圓堆疊(WoW)封裝技術(shù)開發(fā)的新一代創(chuàng)新封裝技術(shù)。SoIC 解決方案將不同尺寸、制程技術(shù)及材料的裸晶堆疊在一起。相較于傳統(tǒng)使用微凸塊的三維積體電路解決方案,臺積電的 SoIC 的凸塊密度與速度高出數(shù)倍,同時(shí)大幅減少功耗。英特爾則推出 Foveros 有源內(nèi)插器技術(shù),其 3D 封裝將內(nèi)插器作為設(shè)計(jì)的一部分,這種設(shè)計(jì)是超越自身 EMIB 設(shè)計(jì)的一步,適用于小型實(shí)現(xiàn)或具有極高內(nèi)存帶寬要求的實(shí)現(xiàn)。內(nèi)插器包含將電源和數(shù)據(jù)傳送到頂部芯片所需的通硅孔和走線,但它也承載平臺的 PCH 或 IO。實(shí)際上,它是一個(gè)完全工作的 PCH,但是有通孔,允許芯片連接在頂部。通過為每種情況下的工作選擇最佳晶體管,在正確的封裝下組合在一起,從而獲得最佳的優(yōu)化效果。

    3.3.3 超越摩爾 Beyond Moore

    自組裝器件是超越摩爾領(lǐng)域取得突破的關(guān)鍵。在集成電路目前的架構(gòu)中,信息的傳遞和處理都是以電子作為基本單元。從信息傳遞的角度來看,單獨(dú)的電子是不具備具體信息 的,需要將電子進(jìn)行組合才能攜帶信息,與此同時(shí),信號在傳遞過程中還會存在能量的消耗并產(chǎn)生熱量。若尋找到其他基本單元自身可以攜帶信息或者信息傳遞過程中不會消 耗能量,這將有助于降低集成電路的功耗和提升其性能,打破現(xiàn)在所面臨的發(fā)展瓶頸問 題,而這類研究則屬于超越摩爾。若自組裝方式構(gòu)成的量子器件、自旋器件、磁通量器件、碳納米管或納米線器件成為組成集成電路的基本單元,在超越摩爾這方向的發(fā)展將 會有質(zhì)的提升。

    3.3.4 豐富摩爾 Much Moore

    學(xué)科和技術(shù)交叉融合將成就更大集成電路夢想。隨著微納電子學(xué)、物理學(xué)、數(shù)學(xué)、化學(xué)、生物學(xué)、計(jì)算機(jī)技術(shù)等學(xué)科和技術(shù)的高度交叉和融合的背景下,與集成電路相關(guān)理論的創(chuàng)新和技術(shù)的突破成為可能。在這些理論和技術(shù)的幫助下,對集成電路的理解可能進(jìn)入到另外一個(gè)維度,在制作工藝和產(chǎn)品上實(shí)現(xiàn)質(zhì)的飛躍。這一方面的發(fā)展需要相關(guān)學(xué)科理論的突破才能傳導(dǎo)到集成電路行業(yè),因而現(xiàn)階段在豐富摩爾發(fā)展方向上還未能取得有效的進(jìn)展。

    總結(jié):摩爾定律是一個(gè)基于集成電路實(shí)際生產(chǎn)所得出來的結(jié)果。隨著集成電路尺寸不斷減小,技術(shù)瓶頸在制約工藝的發(fā)展,當(dāng)前產(chǎn)品換代速度已下降,因此,需要重新確認(rèn)集成電路的發(fā)展方向。目前,業(yè)界已提出四大發(fā)展方向,延續(xù)摩爾(More Moore)、擴(kuò)展摩爾 (More than Moore)、超越摩爾(Beyond Moore)、豐富摩爾(Much Moore)。從技術(shù)的角度看,超越摩爾和豐富摩爾這兩大方向突破尚需時(shí)日;在技術(shù)上獲得突破后,在從商業(yè)上實(shí)現(xiàn)量產(chǎn),或許是多年后的事情。從兩大因素來看,超越摩爾和豐富摩爾這兩大方向目前尚未出現(xiàn)確定趨勢。然而,以小尺寸 SOC 為代表的延續(xù)摩爾,以及以 SIP技術(shù)為代表的擴(kuò)展摩爾,以目前的技術(shù),相對于超越摩爾和豐富摩爾這兩大方向,是較為容易突破于實(shí)現(xiàn),從商業(yè)的角度業(yè)也有望實(shí)現(xiàn)量產(chǎn)。因此,從技術(shù)角度,以小尺寸 SOC為代表的延續(xù)摩爾,以及以 SIP 技術(shù)為代表的擴(kuò)展摩爾,將會是未來一段時(shí)間集成電路產(chǎn)業(yè)的發(fā)展趨勢。

    趨勢三: 5G 帶動(dòng)新一輪集成電路下游應(yīng)用爆發(fā)

    5G 發(fā)展提升集成電路產(chǎn)業(yè)下游景氣度。5G 通信與 4G 通信相比較,其具有更快的用戶體 驗(yàn)速率,更低的時(shí)延,和更高的設(shè)備連接密度的特點(diǎn)。5G 三大應(yīng)用場景為增強(qiáng)移動(dòng)帶寬(eMBB)、高可靠低延時(shí)連接(uRLLC)、海量物聯(lián)(mMTC)。今年 6 月 6 日,工信部向中國電 信、中國移動(dòng)、中國聯(lián)通、中國廣電發(fā)放 5G 商用牌照,標(biāo)志著我國 5G 商用邁入新臺階。發(fā)放商用牌照將加快商用網(wǎng)絡(luò)建設(shè)和相關(guān)終端開發(fā)和生產(chǎn)步伐?;窘ㄔO(shè)和終端消費(fèi)的 提速,將帶動(dòng)集成電路需求量上升。

    4.1 儲存器與邏輯芯片成回暖排頭兵

    集成電產(chǎn)業(yè)回暖,儲存器與邏輯芯片勢頭強(qiáng)勁。從各國 5G 建設(shè)的規(guī)劃來看,各國已經(jīng)逐步在推動(dòng) 5G 進(jìn)入商用階段,這將會使得具備 5G 功能的終端需求量增加,進(jìn)而帶領(lǐng)集 成電路產(chǎn)業(yè)走出低谷。根據(jù)世界半導(dǎo)體貿(mào)易統(tǒng)計(jì)(WSTS)組織在 2019 年 5 月所發(fā)布的預(yù)測報(bào)告來看,2019 年全球半導(dǎo)體市場規(guī)模為 4120 億美金,與 2018 年相比有 12.1%的回 落,所有細(xì)分行業(yè)市場都將面臨下降。但他們預(yù)計(jì)到 2020 年,市場將得到恢復(fù),市場規(guī)模將增長 5.4%,其中儲存器增長最快,其次是光學(xué)集成件和邏輯芯片。

    儲存器和邏輯芯片產(chǎn)品雖多,但功能各有側(cè)重。儲存器是電路中存儲數(shù)據(jù)的一個(gè)器件, 分為非易失性存儲器(NVM)和易失性存儲器(VM)。這兩者的區(qū)別在于 NVM 在電路板斷電的情況下,其所儲存的數(shù)據(jù)不會丟失,而 VM 在斷電的情況下,數(shù)據(jù)會丟失。我們所熟知 的閃速存儲器(Flash Memory)便屬于 NVM,與非閃存器(NAND Flash)是其中的一種,目前我們所使用的各種數(shù)字終端都是采用這一種儲存器。靜態(tài)隨機(jī)存取儲存器(SRAM)和動(dòng) 態(tài)隨機(jī)存取存儲器(DRAM)則屬于 VM,其通常是作為操作系統(tǒng)或其他正在運(yùn)行程序的臨時(shí)存儲介質(zhì)。SRAM 與 DRAM 相比較,速度會更快,但體積更大、價(jià)格更高。邏輯芯片則包 括 CPU、GPU 和 FPGA。中央處理器(CPU)是存儲程序、順序執(zhí)行的最高級處理器,其作用如同人的心臟。圖形處理器(GPU)不能單獨(dú)工作,需要由 CPU 去控制它,其主要工作就是 3D 圖像處理和特效處理,除此之外還可以進(jìn)行密碼破譯、大數(shù)據(jù)處理、金融分析等工作?,F(xiàn)場可編程門陣列(FPGA)是在 PROM、PLD、PLA、GAL、CPLD 等可編程器件的基礎(chǔ)上,發(fā) 展成的一種半定制化集成電路芯片,具有硬件可編程的特點(diǎn)。FPGA 的運(yùn)行不需要指令,其作用是幫助 CPU 完成矩陣運(yùn)算、圖像處理、機(jī)器學(xué)習(xí)、壓縮、非對稱加密、Bing 搜索 的排序等。

    4.2 5G 發(fā)展帶動(dòng)新一輪換機(jī)潮

    通訊技術(shù)換代時(shí)期帶動(dòng)換機(jī)潮。每一代的通信技術(shù)的革新,事實(shí)上也是一次通信頻譜使 用的發(fā)展。3G 時(shí)代采用的頻段是 1880MHz-1900MHz 和 2010MHz-2025MHz;4G 時(shí)代采用的頻段是 1880-1900MHz、2320-2370MHz、2575-2635MHz。5G 時(shí)代頻段再次升級,三大運(yùn)營 商采用不同的頻段,中國電信:3.4GHz-3.5GHz 的 100MHz;中國聯(lián)通:3.5GHz-3.6GHz 的100MHz;中國移動(dòng):2515MHz-2675MHz 的 160MHz 和 4.8GHz-4.9GHz 的 100MHz。3G 網(wǎng)絡(luò) 只使用了大約五個(gè)頻段,LTE 網(wǎng)絡(luò)現(xiàn)在使用的頻段有 40 多個(gè),如今的設(shè)備為了避免被干擾,通常裝有 30 到 40 個(gè)濾波器。隨著 5G 頻段的增加,當(dāng)前 4G 手機(jī)是無法接受 5G 的信號,下一代高端智能手機(jī)所需的濾波器數(shù)量將會增加,對射頻前端的技術(shù)與功能要求 更加高。因此,通信技術(shù)的變革將會引領(lǐng)一次手機(jī)換機(jī)潮的出現(xiàn)。根據(jù) Gartner 數(shù)據(jù)顯示,2019 年第二季度的全球智能手機(jī)總出貨量比去年同期下降 1.7%,從 3.74 億部下降 到 3.67 億部。手機(jī)出貨量出現(xiàn)下降的原因是當(dāng)前處于 4G 手機(jī)的末期,更多消費(fèi)者延長了升級新手機(jī)之前的等待時(shí)間,希望購買新的 5G 手機(jī)。根據(jù) IDC 數(shù)據(jù)顯示,2019 年手 機(jī)出貨量同比維持下降趨勢。然而,2020 年,當(dāng) 5G 網(wǎng)絡(luò)建設(shè)達(dá)到一定程度時(shí),5G 手機(jī)的需求將會增加。市場調(diào)研公司 Canalys 發(fā)布報(bào)告稱,在 2023 年,全球 5G 智能手機(jī)出 貨量將達(dá)到 8 億,占整個(gè)智能手機(jī)市場份額的 51.4%,中國作為全球 5G 網(wǎng)絡(luò)建設(shè)的重點(diǎn)區(qū)域,將是全球最大的 5G 智能手機(jī)市場,出貨量預(yù)計(jì)將占全球市場的 34%。

    5G 手機(jī)終端價(jià)格下降,性價(jià)比提升。新一代通信技術(shù)的推廣意味著需要新一代的通信終 端,新一代終端需要更換相關(guān)組件來滿足 5G 組網(wǎng)技術(shù)的要求。在 5G 商用進(jìn)程不斷推進(jìn)的時(shí)候,5G 手機(jī)換機(jī)潮也在同步醞釀中。在首批 5G 手機(jī)發(fā)布時(shí),價(jià)格都在萬元以上。

    隨著 5G 商用日期不斷接近,各廠商陸續(xù)發(fā)售的手機(jī)價(jià)格與首批產(chǎn)品相比都有一定的回落。截至 2019 年 9 月 10 日,國內(nèi)消費(fèi)者可以購買到的 5G 手機(jī)一共有 9 款,價(jià)格在 37987999 之間,而其中價(jià)格最低的是于 8 月 22 日發(fā)布的 iQOO Pro 5G。對于價(jià)格已經(jīng)進(jìn)入到 4G 旗艦手機(jī)價(jià)位的 5G 手機(jī),其對消費(fèi)者的吸引力顯著提高。隨著 5G 手機(jī)研發(fā)的不 斷深入,價(jià)格戰(zhàn)所導(dǎo)致的價(jià)格進(jìn)一步下探會使得 5G 手機(jī)性價(jià)比進(jìn)一步提升,致使出貨量有進(jìn)一步提升的空間。

    儲存與基帶芯片價(jià)格占比高,國產(chǎn)替代可期待。2019 年 4 月 30 日,摩根大通發(fā)布一份報(bào)告指出,根據(jù)美國投資銀行的數(shù)據(jù),5G 手機(jī)芯片將比 4G 同類產(chǎn)品貴出約兩倍。從此 表述中,我們推斷相關(guān)芯片價(jià)值是有所提升的。華為 P30 是今年初所發(fā)布的華為 P 系列4G 手機(jī)旗艦機(jī)型,我們通過拆解尋源 P30 相關(guān)組件為例子,來初步估算一下 5G 芯片的 價(jià)值。 P30(8GB+64GB)整機(jī)預(yù)估價(jià)格為 293.93 美金,其中主控芯片估價(jià)為 127.78 美金,占整機(jī)估價(jià)約 43.47%。在主控芯片中,屬于基帶處理器的麒麟 980 處理器價(jià)值最高為 60 美金,其次為儲存(閃存+內(nèi)存)48 美金,分別占整機(jī)估值約 20.41%和 16.33%,占主控芯片估值約 46.96%和 37.56%。根據(jù)摩根大通報(bào)告的觀點(diǎn)進(jìn)行估算,5G 手機(jī)終端的處理 器價(jià)格約在 120 美金左右。截至 2019 年 2 月,在各大廠商所發(fā)布的 5G 芯片中,僅有四款芯片適用于中國 5G 規(guī)劃,分別為驍龍 855、巴龍 5000、Helio M70 和春藤 510。在 5G 技術(shù)下,可選芯片數(shù)量少和芯片價(jià)值提升將會提升廠商毛利。與此同時(shí),在美國限制通信芯片出口的背景下,國產(chǎn)芯片將會迎來需求增加的利好局面。手機(jī)運(yùn)行流暢程度除芯 片影響外,其儲存容量的大小也是一個(gè)不可忽略的因素。從各主流機(jī)型內(nèi)存組合和價(jià)格來看,即使是型號、容量和制造廠商有所不同,但其占整機(jī)預(yù)估價(jià)比值都超過 10%,個(gè) 別機(jī)型甚至接近 20%。在未來,由于信息習(xí)慣的變化,5G 使用的應(yīng)用將會增加,產(chǎn)生的數(shù)據(jù)量會再上一個(gè)級別,因此消費(fèi)者對手機(jī)閃存與內(nèi)存的規(guī)格將會進(jìn)一步提升,存儲在 手機(jī)中單體價(jià)值將將會提升。隨著手機(jī)換機(jī)潮所帶動(dòng)出貨量的上升,手機(jī)內(nèi)存的需求量有望上升。

    量價(jià)齊升,集成電路市場有望回暖。換機(jī)潮的到來使手機(jī)出貨量的上升,手機(jī)出貨量的 上升引領(lǐng)芯片的需求,集成電路市場有望回暖。隨著芯片的價(jià)值量在不斷提升,市場規(guī)模將得到擴(kuò)大,企業(yè)毛利率有增大的可能。

    4.3 5G 帶動(dòng)云計(jì)算應(yīng)用需求上升,基礎(chǔ)設(shè)備芯片順勢而上

    5G 通信與云計(jì)算,相輔相成。以互聯(lián)網(wǎng)為載體實(shí)現(xiàn)資源共享作為云計(jì)算本質(zhì)之一,表明通訊和云計(jì)算是伴生關(guān)系。5G 通信的推廣,也使得云計(jì)算的應(yīng)用面更為廣闊。eMBB、 uRLLC、mMTC 作為 5G 的三大應(yīng)用場景,將會產(chǎn)生大量的數(shù)據(jù)。大量的數(shù)據(jù)能夠讓一個(gè)企業(yè)更好地了解客戶需求,了解自身產(chǎn)品的特性與缺陷,了解市場發(fā)展的動(dòng)態(tài),從而提升 產(chǎn)品和服務(wù)質(zhì)量,獲得市場競爭力,因此對大數(shù)據(jù)的處理需求將會增加。在后摩爾時(shí)代,面對摩爾定律的制約,云計(jì)算將會成大數(shù)據(jù)處理的優(yōu)秀方案,云計(jì)算的需求將會增加。

    全球:云計(jì)算市場增長趨于穩(wěn)定。在經(jīng)歷了起步階段的爆發(fā)式增長后,全球云計(jì)算市場增速開始放緩,進(jìn)入平穩(wěn)發(fā)展階段。根據(jù) Gartner 數(shù)據(jù),2018 年以 IaaS、PaaS 和 SaaS 為代表的云計(jì)算市場規(guī)模達(dá)到 1363 億美元,同比增長 23.01%,增速相較 2017 年小幅回落,但總體趨于穩(wěn)定。預(yù)計(jì) 2019 年至 2021 年全球云計(jì)算市場的平均增速在 21%左右, 增速逐年降低,但仍能維持較快增長;到 2022 年,全球云計(jì)算市場規(guī)模將達(dá)到 2700 億美元。

    云計(jì)算產(chǎn)業(yè)發(fā)展帶動(dòng) IDC 發(fā)展。IDC(Internet Data Center)即互聯(lián)網(wǎng)數(shù)據(jù)中心,借 助互聯(lián)網(wǎng)通信網(wǎng)絡(luò)及帶寬資源等支持建立專業(yè)化電信級機(jī)房,為客戶提供服務(wù)器托管、租用等一系列業(yè)務(wù)。IDC 在一定程度上是企業(yè)分工更加精細(xì)化的產(chǎn)物,企業(yè)將數(shù)據(jù)存儲 到數(shù)據(jù)中心,數(shù)據(jù)中心提供設(shè)備和服務(wù),為客戶提供比本地存儲更安全、更便捷的服務(wù)。數(shù)據(jù)是基于互聯(lián)網(wǎng)進(jìn)行訪問和傳輸,即數(shù)據(jù)中心只需要建立在通信線路、帶寬資源等信 息化建設(shè)較為成熟的地區(qū)即可。目前我國大部分 IDC 都東部圍繞城市建造,但伴隨著國家政策的指導(dǎo),IDC 正逐步向中西部地區(qū)轉(zhuǎn)移。隨著云計(jì)算產(chǎn)業(yè)的發(fā)展和國家政策的支 持,IDC 產(chǎn)業(yè)將會有較為確定的增長。

    IDC 規(guī)模和上架率提升,增加設(shè)備需求。據(jù)信通院數(shù)據(jù),截至 2017 年底,在用數(shù)據(jù)中心 架數(shù)占全國的占比由 2016 年的 20%提升至 22%;預(yù)計(jì)西部、中部 2019 年可用機(jī)器數(shù)分別達(dá)到 45.6 萬臺、29.8 萬臺,同比增幅高達(dá) 23.58%、30.7%。從在用架數(shù)的數(shù)量占比來 看,IDC 的使用率在逐步提升,企業(yè)接受程度在逐步提高,將會促進(jìn) IDC 市場規(guī)模。而可用機(jī)器數(shù)上升表明 IDC 的規(guī)模在擴(kuò)大。據(jù)工信部及科智咨詢相關(guān)數(shù)據(jù)顯示,截止 2017 年末,我國超大型數(shù)據(jù)中心上架率為 34.4%;大型數(shù)據(jù)中心上架率達(dá)到 54.87%,同比提升 5%,利用率不斷提升。無論是從 IDC 發(fā)展規(guī)模,還是從上架率來考慮,對設(shè)備需求都是在不斷的增加。服務(wù)器、以太網(wǎng)交換器、儲存器、網(wǎng)絡(luò)監(jiān)控器等都是 IDC 所需要的設(shè) 備。其中服務(wù)器和儲存器是需求最大的兩個(gè)設(shè)備,在這兩個(gè)設(shè)備需求量上升的同時(shí),邏輯芯片、儲存器等需求將會加大,對集成電路市場具有促進(jìn)作用。

    4.4 物聯(lián)網(wǎng)蟄伏等待,邏輯與存儲深藏于 MCU

    物聯(lián)網(wǎng)三大主線協(xié)同發(fā)展。物聯(lián)網(wǎng)以面向需求側(cè)的消費(fèi)性物聯(lián)網(wǎng)、面向供給側(cè)的生產(chǎn)線物聯(lián)網(wǎng)以及智慧城市三大主線發(fā)展。面向需求側(cè)的物聯(lián)網(wǎng)主要是消費(fèi)類應(yīng)用,會持續(xù)推 出簡潔、易用和對現(xiàn)有生活有實(shí)質(zhì)性提升的產(chǎn)品來實(shí)現(xiàn)產(chǎn)業(yè)的發(fā)展。面向供給側(cè)的物聯(lián)網(wǎng)則是企業(yè)轉(zhuǎn)型升級所需的基礎(chǔ)設(shè)施和關(guān)鍵要素,其以問題為導(dǎo)向,解決行業(yè)、企業(yè)最 小的問題到實(shí)現(xiàn)企業(yè)變革轉(zhuǎn)型之間各類大小不同的價(jià)值實(shí)現(xiàn)。而智慧城市的目的是讓城市成為一個(gè)連續(xù)、高效、整合、開放的生態(tài)系統(tǒng)。三大主線的目的是為各方更好的利用 資源以獲得最高的回報(bào)。隨著技術(shù)的不斷創(chuàng)新和成熟,按照 GSMAIntelligence 預(yù)測,從 2017 年到 2025 年,產(chǎn)業(yè)物聯(lián)網(wǎng)連接數(shù)將實(shí)現(xiàn) 4.7 倍的增長,消費(fèi)物聯(lián)網(wǎng)連接數(shù)將 實(shí)現(xiàn) 2.5 倍的增長。市場的增速將保持在一個(gè)較高的水平。

    市場規(guī)模在不斷加大,物聯(lián)網(wǎng)行業(yè)應(yīng)用市場滲透率穩(wěn)步提升。全球物聯(lián)網(wǎng)產(chǎn)業(yè)規(guī)模由2008 年 500 億美元增長至 2018 年近 1510 億美元。市場規(guī)模在十年內(nèi)增加近三倍,年復(fù) 合增長率約為 11.69%。消費(fèi)物聯(lián)網(wǎng)中智能家居的興起帶動(dòng)了整個(gè)產(chǎn)業(yè)鏈的發(fā)展,其中爆紅的智能音箱更是解放了人的雙手,引領(lǐng)了一波消費(fèi)潮流,各大廠商也是接連推出相關(guān) 商品。智能音箱從 2017 年開始爆發(fā), 2018 年延續(xù)火爆態(tài)勢,數(shù)據(jù)顯示 2018 年第二季度全球智能音箱出貨量已達(dá)到了 1680 萬臺,同比增長 187%,其中谷歌、亞馬遜、阿里 和小米四家的智能音箱占據(jù)全球 85%以上的份額。智能音箱能與家中大部分智能產(chǎn)品實(shí)現(xiàn)互聯(lián),操作簡便使客戶體驗(yàn)更佳。智能家居所帶來的便利和舒適感使得消費(fèi)性物聯(lián)網(wǎng) 消費(fèi)力在不斷提升。2018 年全球智能家居設(shè)備、系統(tǒng)和服務(wù)的消費(fèi)者支出總額將接近960 億美元,未來 5 年的復(fù)合年增長率為 10%,預(yù)計(jì) 2023 年將達(dá)到 1550 億美元。除此 之外,物聯(lián)網(wǎng)技術(shù)和方案在各行業(yè)滲透率不斷加速。2013 年物聯(lián)網(wǎng)行業(yè)應(yīng)用滲透率為12%,2017 年數(shù)值已超過 29%。預(yù)計(jì)到 2020 年超過 65%的企業(yè)和組織將應(yīng)用物聯(lián)網(wǎng)產(chǎn)品 和方案。需求側(cè)和供給側(cè)的市場都具備高速增長的可能,這將會拉動(dòng)產(chǎn)業(yè)上下游的爆發(fā)。

    四大技術(shù)構(gòu)建物聯(lián)網(wǎng)。物聯(lián)網(wǎng)得以實(shí)現(xiàn)依賴于射頻識別(RFID)、傳感器技術(shù)、無線通信技術(shù)和嵌入式技術(shù)。射頻識別的作用是在物體互聯(lián)或被接入互聯(lián)網(wǎng)的情景下,以身份識 別為目的,這是確保物聯(lián)網(wǎng)能平穩(wěn)運(yùn)行的重要一步。在在物體被識別和聯(lián)網(wǎng)后,傳感器將所感知的模擬信息轉(zhuǎn)變?yōu)閿?shù)字信號,并提供給計(jì)算中心處理。在信號完成轉(zhuǎn)變后,由 無線通信提供支持,在傳感器和計(jì)算中心之間搭起一條信息的傳動(dòng)帶,這條帶可由短距離傳輸或長距離傳輸技術(shù)提供支持。短距離傳輸包括 Wi-Fi、藍(lán)牙和 ZigBee,此類傳輸 可將捕獲的數(shù)據(jù)傳輸?shù)骄钟蚓W(wǎng)內(nèi)的數(shù)據(jù)處理中心。而長距離的傳輸則使用到廣域網(wǎng)。無論是何種技術(shù),目的都是將數(shù)據(jù)盡快的傳輸?shù)綌?shù)據(jù)中心進(jìn)行進(jìn)一步的處理,為決策提供 數(shù)據(jù)支援服務(wù)。嵌入式技術(shù)指的是片上集成系統(tǒng),可根部實(shí)際的情況,將適用于不同場景的芯片進(jìn)行集成,進(jìn)而實(shí)現(xiàn)相關(guān)功能,微控制器芯片是這一技術(shù)應(yīng)用的主要方向。

    物聯(lián)網(wǎng)市場高增長,芯片市場需求旺盛。物聯(lián)網(wǎng)設(shè)備自身系統(tǒng)的運(yùn)行和設(shè)備之間的數(shù)據(jù)交換都需要芯片來提供有效的支持。微控制器芯片(MCU)可以被認(rèn)定為設(shè)備的大腦,是一個(gè)片上集成系統(tǒng),其包含核、儲存器、模擬器、定時(shí)器等相關(guān)芯片和子系統(tǒng)。目前,智能卡占據(jù) MCU 出貨量一半以上,但對 MCU 需求放緩,預(yù)計(jì)到 2020 年,智能卡將占 MCU 總出貨量的 38%。但隨著物聯(lián)網(wǎng)的不斷滲透,其將逐步取代智能卡成為 MCU 出貨量持續(xù)增長的保障。

    通信芯片不可忽視。設(shè)備與設(shè)備、設(shè)備與數(shù)據(jù)中心之間數(shù)據(jù)的傳輸需要通信芯片來實(shí)現(xiàn)相關(guān)功能。從設(shè)備制造和應(yīng)用的情況來看,現(xiàn)階段物聯(lián)網(wǎng)設(shè)備應(yīng)用較為廣泛的是短距離通訊,因此短距離通訊芯片在物聯(lián)網(wǎng)通信芯片出貨量中占比較高。對于廣域物聯(lián)網(wǎng)通信芯片而言,傳統(tǒng)蜂窩為主,LPWAN 芯片增速最快。從全球范圍來看,產(chǎn)業(yè)物聯(lián)網(wǎng)(包括生產(chǎn)性物聯(lián)網(wǎng)和智慧城市物聯(lián)網(wǎng))與消費(fèi)物聯(lián)網(wǎng)基本同步發(fā)展。即使雙方的發(fā)展邏輯和驅(qū)動(dòng)力量有所不同,但都會增加對相關(guān)設(shè)備的需求,從而提升芯片市場的規(guī)模和出貨量,進(jìn)一步帶動(dòng)產(chǎn)業(yè)鏈協(xié)同發(fā)展。

    五、投資策略

    投資建議:維持推薦評級。以上,我們通過三大趨勢闡述未來集成電路產(chǎn)業(yè)的趨勢,三大趨勢分別代表區(qū)域、技術(shù)、方向等三大維度。趨勢一:世界集成電路重心已經(jīng)轉(zhuǎn)移至 亞太地區(qū),中國市場對集成電路需求巨大,加大近期一連串的外圍因素,倒逼我國在集成電路產(chǎn)業(yè)上加速推進(jìn)國產(chǎn)替代;趨勢二:由于摩爾定律受到三大因素制約,集成電路 根據(jù)當(dāng)前的技術(shù)情況,以小尺寸 SOC 為代表的延續(xù)摩爾,以及以 SIP 技術(shù)為代表的擴(kuò)展摩爾,將會是未來一段時(shí)間集成電路產(chǎn)業(yè)的發(fā)展趨勢;趨勢三:我們認(rèn)為,在 5G 的契機(jī) 下,將帶動(dòng)終端換機(jī)潮,云計(jì)算應(yīng)用爆發(fā),以及物聯(lián)網(wǎng)應(yīng)用爆發(fā)。以上三大方向?qū)ьI(lǐng)全球集成電路產(chǎn)業(yè)中的存儲器與邏輯芯片實(shí)現(xiàn)率先復(fù)蘇。綜合以上分析,我們對集成電 路產(chǎn)業(yè)維持推薦評級。建議關(guān)注晟矽微電(430276.OC)等相關(guān)公司。

    關(guān)鍵詞: 集成電路產(chǎn)業(yè)

    相關(guān)閱讀
    分享到:
    版權(quán)和免責(zé)申明

    凡注有"環(huán)球傳媒網(wǎng)"或電頭為"環(huán)球傳媒網(wǎng)"的稿件,均為環(huán)球傳媒網(wǎng)獨(dú)家版權(quán)所有,未經(jīng)許可不得轉(zhuǎn)載或鏡像;授權(quán)轉(zhuǎn)載必須注明來源為"環(huán)球傳媒網(wǎng)",并保留"環(huán)球傳媒網(wǎng)"的電頭。

    Copyright ? 1999-2017 cqtimes.cn All Rights Reserved 環(huán)球傳媒網(wǎng)-重新發(fā)現(xiàn)生活版權(quán)所有 聯(lián)系郵箱:8553 591@qq.com
    久久激情五月网站,一本色道综合亚洲精品精品,午夜电影久久久久久,中文无码AV片在线 成a在线观看视频播放 婷婷色中文在线观看
  • <rt id="2wkqu"><small id="2wkqu"></small></rt>